Find Jobs
Hire Freelancers

Verilog/VHDL task

$10-30 USD

Closed
Posted over 4 years ago

$10-30 USD

Paid on delivery
Hello freelancers. I have some works related to Verilog/VHDL and i am looking for someone who can work with me for a long term. I need someone who can handle simple as well as complex tasks. I will share details of work with selected freelancer.
Project ID: 23489135

About the project

18 proposals
Remote project
Active 4 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
18 freelancers are bidding on average $20 USD for this job
User Avatar
I am an EE engineer. I have lots of experience working with Xilinx and Altera FPGA boards writing VHDL and embedded C for NIOS II (field of interest). I have a background in digital design. I also worked with microcontrollers such as MSP430, Arduino, PIC, etc. I have done lots of similar projects before and can handle your project easily. We can discuss it more over chat. Regards,
$20 USD in 7 days
5.0 (44 reviews)
6.1
6.1
User Avatar
Hi I have been working on Verilog-VHDL and Xilinx and Altera FPGAs by more than 6 years. Please let me know if you are interested. Thanks
$10 USD in 1 day
4.8 (33 reviews)
6.1
6.1
User Avatar
Greetings to the team. We have 8Years of experience in FPGA digital design area. We have complete knowledge in implementation with VHDL. We are expertise in ISE and VIVADO. We have knowledge in implementing high speed data converter interface, Digital Signal Processing, Data Handling and so on. We are new to this platform. And We will assure you that we can do the job in an efficient way. We hope that we will expect a positive reply from you. Thanks and Regards Power Clusters
$10 USD in 1 day
5.0 (26 reviews)
4.8
4.8
User Avatar
Hi.. I am an electrical engineer. I have very good experience in VHDL/Verilog.I will provide you a high quality work. Looking forward to hearing from you. THANKS
$25 USD in 1 day
4.9 (42 reviews)
4.6
4.6
User Avatar
i am electronics engineer and have vast experience with circuit design and verilog programming,i have done project with FPGA feel free to contact me
$30 USD in 7 days
5.0 (11 reviews)
4.1
4.1
User Avatar
i have 2+ year experience in Design and verification field, i have do 20+ projects on Verilog and VHDL, i will provide help for 10 days after completion of project, i will complete project perfectly and on time, thanks and regard kundan vaghela 9173500753
$10 USD in 1 day
4.9 (13 reviews)
3.6
3.6
User Avatar
I have been working on PUF based security project using FPGA since 2018. I mostly work on Xilinx FPGA (Verilog as programming language). I have recent publication in IEEE-SOCC 2019. Looking for positive reply !!
$18 USD in 2 days
0.0 (0 reviews)
0.0
0.0
User Avatar
Fresher in VLSI industry with not many years of experience but a keen interest to explore and deliver. Languages: Verilog, SystemVerilog, Perl, Python, TCL, C Tools (Synthesis): Synopsys Design Compiler
$10 USD in 7 days
0.0 (0 reviews)
0.0
0.0
User Avatar
I am dedicated RTL designer worked on Video & Image Processing, Speech Processing, Video Network on Chip, UART, SPI, I2C on FPGA board using Xilinx ISE, Vivado, Chipscope Pro and System Generator. Relevant Skills and Experience It would be a pleasure to work with you if an opportunity is given.
$15 USD in 2 days
0.0 (1 review)
0.0
0.0
User Avatar
I am familiar with verilog and vhdl
$25 USD in 1 day
0.0 (0 reviews)
0.0
0.0
User Avatar
Hi I am studying hardware engineering. I designed many systems using verilog language (examples: filtering images, simple 30 commands cpu, ALU, ram and rom, state machines, ...) Also i have good experience working with tools like modelsim and quartus. I am available for long term and when it comes to designing hardware systems nothing cant interest me more than it is!
$30 USD in 7 days
0.0 (0 reviews)
0.0
0.0
User Avatar
hi; i am a EC engineer and i have 3+ experience in this field. i will complete your work on time You will get: High-Quality Work 100% Accuracy and Error-Free Work
$20 USD in 7 days
5.0 (1 review)
0.0
0.0
User Avatar
I'm working with IDEA! Eletronics for 1 year and a half. There I was trained to develop IPs, such as Bridge, UART, Bus and help to design a RISC V. Because of internal demand, I was trained in UVM verification methodology, that made me capable of verify IPs.
$20 USD in 7 days
0.0 (0 reviews)
0.0
0.0
User Avatar
Hi, Please share the problem details, I am Electrical Engineer having expertise in Verilog HDL, Modelsim, Quartus II, Xilinx and FPGA based System Design.
$15 USD in 2 days
0.0 (0 reviews)
0.0
0.0
User Avatar
Hello I am a Digital Design Engineer who worked on several frontend and backend ASIC design projects. I have good knowledge in writing synthesizable Verilog codes. Also, I am aware of various delay, power and area optimization techniques. I am available for long term as well as short term projects. let's discuss further details in the chat. I can start working now!
$30 USD in 7 days
0.0 (0 reviews)
1.8
1.8

About the client

Flag of PAKISTAN
sargodha, Pakistan
4.8
70
Payment method verified
Member since Dec 1, 2017

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.